Riviera-PRO

高性能ASICと大規模FPGA検証ソリューション

ハイライト

  • VHDL、Verilog、EDIF、SystemC、SystemVerilog、SVA、OVA、PSL言語をサポート
  • C、C++、SystemCサポート
  • SLP高速シミュレーションテクノロジー
  • スクリプト、バッチ処理
  • アドバンスデバッグツール、コードカバレッジ、プロファイラ
  • PLI、VHPIインタフェース

説明

Riviera は高性能ASICと大規模 FPGA 検証ソリューションで大規模シミュレーション実行やバッチプロセス向けに最適化されています。スタンドアロンの VHDL、Verilog、EDIF シミュレーション環境で市場にある他のツールとシームレスに統合することが出来ます。

Riviera のインタフェースにより、MATLAB® コマンド実行、MATLAB function の呼び出し、MATLAB workspace へのデータの入出力が可能です。全ての操作は HDL コードで制御されています。MATLAB との通信は Verilog と VHDL の両方で用意されたサブプログラムにより可能です。設計層のどのレベルでも、MATLAB へのコマンド送信(例えば、数式を渡したり、MATLAB functionを呼び出し)、HDL 変数を MATLAB workspace へ受け渡し、必要な操作の実行、HDL シミュレータへ結果を返すということが可能となります。

Simulink® インタフェースにより数式で記述されたファンクションブロックとハードウェア記述言語で記述されたビヘイビアモデルを協調検証することが可能です。インタフェースには Simulink のための Co-Simulation ウィザードがあり、HDL や EDIF ユニットにブラックボックスを生成し、Riviera ライブラリにコンパイルします。ウィザードで生成されたブラックボックスは Simulink ダイアグラムに配置し検証プロセスで使用することができます。ウィザードを使用して、クロックやクロックポート、量子化などの、協調検証に必要なブラックボックスのオプションを設定します。

aldec

Aldec, Inc.

2260 Corporate Circle
Suite 480
Henderson, NV 89074
UNITED STATES
電話: 702-990-4400
FAX: 800 487-8743
info@aldec.com
https://www.aldec.com

必須製品

プラットフォーム

  • Linux
  • UNIX
  • Windows

サポート

  • 電子メール
  • FAX
  • 電話

製品タイプ

  • モデリング、シミュレーション ツール

タスク

  • 画像処理、コンピューター ビジョン
  • システム モデリング、シミュレーション

産業分野

  • 航空宇宙、防衛
  • 通信インフラ
  • コンシューマー エレクトロニクス
  • 半導体